OldComp.cz

Komunitní diskuzní fórum pro fanoušky historických počítačů


Právě je 16.04.2024, 06:45

Všechny časy jsou v UTC + 1 hodina [ Letní čas ]




Odeslat nové téma Odpovědět na téma  [ Příspěvků: 18 ]  Přejít na stránku Předchozí  1, 2
Autor Zpráva
 Předmět příspěvku: Re: De10 Nano Verilog a (S/D)RAM
PříspěvekNapsal: 26.11.2020, 20:10 
Offline
Kecálek

Registrován: 28.07.2018, 07:55
Příspěvky: 125
Has thanked: 77 times
Been thanked: 86 times
Moc děkuji za poskytnuté rady a připomínky a čas strávený instalací a zkoumáním ale dle jedné známé pohádky křičím "Zrušte poplach!"

V zoufalosti jsem začal číst kde co a v Quartu jsem prohledával i ty volby a dialogy co jsem považoval za nezajímavé a nebo jsem o nich ani nevěděl :D A našel jsem i jednu volbu co se jmenovala "Locate in RTL Viewer". No a zde se ukázalo že moje proklínaná paměť RAM má hodiny napojené na konstantu 0 a výstup není napojen nikam.

Ta konstanta byla kvůli inicializaci stylem

Kód:
reg clk_sys = 0;


a ač jinde fungovala, tak hodiny zazdila - už do nikdy neudělám :god:

No a druhý problém byl v překlepu názvu wire do kterého měl být poslán output. Po opravení obou problémů si již paměť pamatuje :suicide:

Ještě se musím podívat jaké všechny chyby jsem zkombinoval v tom původním kódu - není nad to jich mít víc a v jednotlivých iteracích si je prohazovat :bang:

Tak ještě jednou - díky díky moc díky


Nahoru
 Profil  
 
 Předmět příspěvku: Re: De10 Nano Verilog a (S/D)RAM
PříspěvekNapsal: 26.11.2020, 23:59 
Offline
Pan Generální

Registrován: 19.07.2013, 15:54
Příspěvky: 2728
Has thanked: 144 times
Been thanked: 422 times
Tak to je super ze jsi to nasel. Ale pozor reg clk_sys = 0; nebyla pricina toho ze ti nesla pamet. Ty jsi omylem napsal sys_clk a na to te prekladac upozornil varovanim ze ti udelal novy net a samozrejme tomu dal beznou vychozi hodnotu log0.

To RTL bezne pouzivam - viz projekt GDG kde to ukazuji. Ale jeste lepsi je simulace. Ta ukaze i signaly v case. Ale neni dobre simulovat delsi cas. Je to casove narocne. Pak je lepsi pouzit realny cip a v nem mit sondu. Ta zobrazuje presne co se uvnitr FPGA deje. Preklad zdrojoveho kodu do simulace trva rapidne mensi cas nez preklad do realneho zeleza. Zadna metoda neni idelani, vzdy je nutno vybrat to co je prave vyhodnejsi. Jinak simulace ma obrovskou vyhodu, ze ti ukaze problemy co by jsi jinak mohl prehlednout. Ona totiz umi vice stavu nez log0 a log1 a tak krasne muzes videt ze nejaky signal se ti nemeni (ma jinou barvu) nebo ma divny nelogicky stav. A samozrejme spravne by mel kazdy udelat testbench pro kazdy modul ale to moc lidi nechce delat.


Nahoru
 Profil  
 
 Předmět příspěvku: Re: De10 Nano Verilog a (S/D)RAM
PříspěvekNapsal: 30.11.2020, 01:14 
Offline
Kecka

Registrován: 30.01.2015, 21:23
Příspěvky: 40
Has thanked: 1 time
Been thanked: 8 times
Jenom bych upozornil na jednu vec. Mozna ze to funguje, ale je tam mozna jeste problem a ten se jmenuje Clock Domain Crossing (https://www.eetimes.com/understanding-clock-domain-crossing-issues/#). Je to zpusobene tim, ze pouzivate 2 ruzne hodiny. Vase pamet testRAM je synchronni a tika na hodinach clk, ale adresu pro ni generujete z hodin clk_sys. Pokud jsou ty hodiny asynchronni, tak je to potencialni problem.

_________________
Amiga 600 + 2MB Handmade Chip Ram a Gotek


Nahoru
 Profil  
 
Zobrazit příspěvky za předchozí:  Seřadit podle  
Odeslat nové téma Odpovědět na téma  [ Příspěvků: 18 ]  Přejít na stránku Předchozí  1, 2

Všechny časy jsou v UTC + 1 hodina [ Letní čas ]


Kdo je online

Uživatelé procházející toto fórum: Žádní registrovaní uživatelé a 1 návštěvník


Nemůžete zakládat nová témata v tomto fóru
Nemůžete odpovídat v tomto fóru
Nemůžete upravovat své příspěvky v tomto fóru
Nemůžete mazat své příspěvky v tomto fóru
Nemůžete přikládat soubory v tomto fóru

Hledat:
Přejít na:  
Založeno na phpBB® Forum Software © phpBB Group
Český překlad – phpBB.cz